Envío Gratis en compras mínimas de $1200 mxn Enviamos a toda la Republica Mexicana
Buscar

Avanxe 7 – Aplicación 1: Contador binario de 8 bits

 

Aplicación demostrativa que configura algunos pines del FPGA como entradas o salidas. La aplicación consta de un contador binario de 8 bits cuya frecuencia cambia dependiendo el valor de los switches, el conteo se observará en los LEDs de la tarjeta.

 

 

El código en VHDL utiliza contadores que generan retardos para el contador principal que se observa en los LEDs siguiendo el esquema:

 

 

Dependiendo del valor de los 8 switches es la frecuencia del conteo.

 

 

DESCARGA EL PROYECTO

 

Crea un proyecto nuevo y añade los archivos .vhd y .xdc.